CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 分频

搜索资源列表

  1. VHDLfenpin

    0下载:
  2. VHDL进行分频的完备资料,包含偶数、奇数、小数、分数-VHDL for the completeness of the information divide, including even and odd numbers, decimals, fraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:322155
    • 提供者:djbyy
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. VHDL

    0下载:
  2. 分频跑马灯数码管示范代码能实现分频跑马灯数码管示范-Crossover Marquee digital control Model Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:5422
    • 提供者:wst
  1. jifei

    0下载:
  2. 在Quartus环境中,采用VHDL语言编写的出租车计费系统,系统共分为分频、状态切换、记程、计费等模块,模仿现实中出租车计费。-In the Quartus environment, the use of VHDL language taxi billing system, the system is divided into sub-frequency, state switching, recording process, billing and other modules, to imi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1568205
    • 提供者:wahu
  1. music_disply

    0下载:
  2. 音乐播放器 中的数控分频器 后续还需要添加一个分频的电路-Music player in the follow-up of NC divider also need to add a sub-frequency circuit
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:688241
    • 提供者:zx
  1. uart

    0下载:
  2. RS232控制分频,实现占空比和频率可以控制的分频器-verilog RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:80161
    • 提供者:cuiqiang
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. clk_div

    0下载:
  2. 分频计数器verilog源代码,包括实验说明文档,清晰易懂.-this code can easily be understood and teaches you how to divide the clock.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:200134
    • 提供者:颜爱良
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. xiyiji

    1下载:
  2. 洗衣机控制程序,包括分频器,计数器,触发控制器等。-Washing machine control procedures, including the divider, counter, trigger controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6470
    • 提供者:羔羊
  1. vhdl

    0下载:
  2. 3分频 器,LED分位译码电路,交通控制器,序列检测器-four programs based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2413
    • 提供者:李卓
  1. COUNT

    0下载:
  2. 设计一个最大分频为225的分频器,将50MHz时钟作为输入。分频器可以通过计数器来实现,通过一个25位的计数器,然后在最后一位输出,则产生了一个最大分频为225的分频器。-Design a maximum frequency divider 225, the 50MHz clock as input. Divider can be achieved through the counter, through a 25-bit counter, and then the last one out,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2038
    • 提供者:tosh
  1. VHDL

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序。-Keyboard VHDL program includes: top-level program, scale generator program, CNC frequency module program and the program automatically play the module.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:1948
    • 提供者:剑锋
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. VHDLqiangdaqi

    1下载:
  2. VHDL四路抢答器该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是撰写顶层文件。-VHDL four Responder divided into seven modules of the design task, namely: Responder identification module, timing module Responder, Responder scoring module, frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7240
    • 提供者:oyang
  1. gmsk_2

    1下载:
  2. 实现2M数据速率的GMSK调制,时钟频率20M,2分频后作为移位寄存器-2M data rate to achieve the GMSK modulation, the clock frequency of 20M, 2 minutes after a shift register frequency
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:598240
    • 提供者:杨勇
  1. VHDL_fre_div

    0下载:
  2. 使用VHDL进行分频器设计 本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设 计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过Synplify Pro或FPGA生产厂商的综合器进行综合,形成可使 用的电路,并在ModelSim上进行验证。-For crossover design using VHDL This paper describes the use of ex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:322204
    • 提供者:guoguo
  1. VHDLfangbo

    0下载:
  2. 通过VHDL语言实现一个方波,代码里含有两个进程,一个分频一个输出方波。里面含有两个代码,可以根据需要参考-VHDL language through a square wave, the code will contain two processes, a frequency of a square wave output. Which contains two code, you can reference as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:756
    • 提供者:常云飞
  1. div63

    1下载:
  2. 可以对增量式编码器输出的AB相信号进行整数分频。有一个简单的通讯接口,可设定分频大小。 -Incremental encoder can output an integer number for AB believe frequency. There is a simple communication interface, can set the size frequency.
  3. 所属分类:通讯编程

    • 发布日期:2014-01-05
    • 文件大小:1615
    • 提供者:周振亮
  1. UART

    0下载:
  2. VHDL语言写的串口发送、接收程序,根据晶振和相应的波特率修改分频器就可以实现!-Written in VHDL serial send, receive, process, according to crystal and the corresponding baud rate divider changes can be achieved!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2369
    • 提供者:康丙寅
« 1 2 3 4 5 6 78 9 10 11 12 ... 27 »
搜珍网 www.dssz.com